FPGA

How to program Nexys3 FPGA board on Linux using Digilent Adept software: A guide for beginners

Instead of having to buy expensive JTAG adapters like the Xilinx Platform Cable USB, Digilent FPGA boards like the Nexys3 support programming by using the Adept protocol being translation into JTAG internally. The Adept software is pretty easy to use if you know the basics of how to use the executables.

This is a beginner’s tutorial, so if you already have some experience with FPGAs, you might want to skip some parts. Still you need to have some knowledge about how to use the shell.

Prerequisites:

  • You got a .bit file compiled for your specific FPGA model. To generate this from VHDL or Verilog code, you should use the Xilinx ISE (WebPack is free, but you need to register!) – if you use third-party software the calls to the Xilinx Toolchain may also be encapsulated in a Makefile or similar.
  • You got your Nexys3 plugged into your computer using a standard micro-USB cable. Note that there are two micro USB ports on the Nexys3 board: One labeled UART and one labeled USB PROG. You need to insert the USB cable into the port labeled  USB PROG
  • The Nexys3 is turned on: You can turn it on and off using the switch adjacent to the USB PROG port. If the board is turned on, a red light will appear. Actually the switch doesn’t turn the board off but sets it to receive power from the 5.5×2.1mm barrel adapter adjacent to the switch.

Continue reading →

Posted by Uli Köhler in FPGA